当前位置:首页 > 新闻中心 > 技术分享

高云国产FPGA实验箱——32位流水灯工程创建步骤和使用说明

发布时间:2022-09-09 14:06   浏览次数:1104次   作者:管理员


打开Gowin软件(高云国产FPGA)

双击桌面Gowin软件图标,打开Gowin开发软件:


高云国产FPGA实验箱——32位流水灯工程创建步骤和使用说明 

新建FPGA工程

Quick Start界面,点击New Project,选择弹出对话框中的FPGA Design Project选项,然后点击OK


高云国产FPGA实验箱——32位流水灯工程创建步骤和使用说明 


设置FPGA工程名和工程存放路径,然后点击Next进入下一步:


高云国产FPGA实验箱——32位流水灯工程创建步骤和使用说明 


选择FPGA器件型号,可通过Filter过滤条件快速定位所选FPGA器件型号,选择好器件型号后点击Next


高云国产FPGA实验箱——32位流水灯工程创建步骤和使用说明

 

工程创建向导最后一页显示用户所创建FPGA工程的详细信息和FPGA器件型号,用户确认信息无误后点击Finish,完成FPGA工程创建工作;如果用户发现所显示的信息有误,可以点击Back返回修改:


高云国产FPGA实验箱——32位流水灯工程创建步骤和使用说明 

 

添加设计文件

Design设计窗口空白处右键单击,弹出新建设计文件或添加设计文件菜单,如果已有设计文件,则选择添加设计文件Add Files,否则选择新建设计文件New File


高云国产FPGA实验箱——32位流水灯工程创建步骤和使用说明 


假设已有设计文件,那么选择Add FIles添加设计文件。在添加设计文件对话框中,选择所有需要添加的文件,然后点击“打开”:


高云国产FPGA实验箱——32位流水灯工程创建步骤和使用说明 


这样,刚刚用户添加的设计文件就添加到FPGA工程中的VerilogVHDL文件夹下面:


高云国产FPGA实验箱——32位流水灯工程创建步骤和使用说明 


 

工程综合

Process窗口双击综合选项Synthesize,对FPGA进行综合,可以检查设计文件的语法错误,也为后面的管脚约束做准备:


高云国产FPGA实验箱——32位流水灯工程创建步骤和使用说明

 

管脚约束

Process窗口双击选项User Constranits -> FloorPlanner,打开管脚约束界面:

 

高云国产FPGA实验箱——32位流水灯工程创建步骤和使用说明 


高云国产FPGA实验箱——32位流水灯工程创建步骤和使用说明


FloorPlanner->I/O Constraints界面,用户分配管脚IO位置和管脚IO电平标准类型,完成管脚约束后点击左上角的保存图标:


高云国产FPGA实验箱——32位流水灯工程创建步骤和使用说明 


生成下载文件


Process窗口双击选项Place & Route,对FPGA工程进行布局布线,并最终生成可加载文件:


高云国产FPGA实验箱——32位流水灯工程创建步骤和使用说明 


生成的下载文件在工程的impl->pnr目录下面,下载文件后缀名为.fs


高云国产FPGA实验箱——32位流水灯工程创建步骤和使用说明

 

下载测试

双击Program Device打开程序加载界面:


高云国产FPGA实验箱——32位流水灯工程创建步骤和使用说明 


程序加载界面确保器件类型和加载文件无误后,点击加载图标,将程序文件加载到FPGA中:



高云国产FPGA实验箱——32位流水灯工程创建步骤和使用说明 


程序成功加载到FPGA后,在Output窗口打印加载耗时信息,否则会提示错误信息:


高云国产FPGA实验箱——32位流水灯工程创建步骤和使用说明 



高云国产FPGA实验箱——32位流水灯工程创建步骤和使用说明


              (高云国产FPGA型号:GW1N-UV4LQ144C6/I5)